Welcome![Sign In][Sign Up]
Location:
Search - flash vhdl

Search list

[VHDL-FPGA-Verilog4NandFlash

Description: 基于verilog hdl 的Nand Flash控制代码-Verilog hdl-based control code of the Nand Flash
Platform: | Size: 2048 | Author: wxd | Hits:

[VHDL-FPGA-VerilogRS_5_3_GF256

Description: 用于NAND FLASH CONTROLLER 中的 ecc 各个模块VHDL代码-NAND FLASH CONTROLLER for ecc modules in VHDL code
Platform: | Size: 197632 | Author: 陈佳宜 | Hits:

[VHDL-FPGA-Verilogwb_flash_latest[1].tar

Description: flash 控制器,用verilog描述,希望对大家有帮助-flash controller!
Platform: | Size: 2048 | Author: 罗锋 | Hits:

[VHDL-FPGA-Verilogspiflashcontroller_latest.tar

Description: This VHDL module implements a state controller for a serial (SPI) Flash ROM
Platform: | Size: 721920 | Author: mahmoud | Hits:

[FlashMXFlash_controller

Description: sharp flash controller
Platform: | Size: 9216 | Author: Vijay Baraiya | Hits:

[VHDL-FPGA-VerilogR_12C

Description: 完成W25x ,M25p系列flash的读操作!经验证无误!-W25x ,M25p flash
Platform: | Size: 2837504 | Author: caofeng | Hits:

[Embeded-SCM DevelopFlash

Description:
Platform: | Size: 1471488 | Author: dd | Hits:

[ARM-PowerPC-ColdFire-MIPSflash_operator

Description: 本代码为控制三星公司nand flash,型号k9f5608,实现了读写和擦除操作,方便调用-The code for the control of Samsung nand flash, model k9f5608, achieved a read-write and erase operations to facilitate the call
Platform: | Size: 1396736 | Author: kaishi | Hits:

[VHDL-FPGA-Verilogmem_ctrl_latest.tar

Description: 存储器控制FPGA程序,包括ram,fifo,sdram,flash等。-FPGA memory control processes, including ram, fifo, sdram, flash and so on.
Platform: | Size: 331776 | Author: zhangsan | Hits:

[FlashMXNand_verilog

Description: NAND flash also uses floating-gate transistors, but they are connected in a way that resembles a NAND gate: several transistors are connected in series, and only if all word lines are pulled high (above the transistors VT) is the bit line pulled low. These groups are then connected via some additional transistors to a NOR-style bit line array. To read, most of the word lines are pulled up above the VT of a programmed bit, while one of them is pulled up to just over the VT of an erased bit. The series group will conduct (and pull the bit line low) if the selected bit has not been programmed.-NAND flash also uses floating-gate transistors, but they are connected in a way that resembles a NAND gate: several transistors are connected in series, and only if all word lines are pulled high (above the transistors VT) is the bit line pulled low. These groups are then connected via some additional transistors to a NOR-style bit line array. To read, most of the word lines are pulled up above the VT of a programmed bit, while one of them is pulled up to just over the VT of an erased bit. The series group will conduct (and pull the bit line low) if the selected bit has not been programmed.
Platform: | Size: 870400 | Author: anirudhh | Hits:

[VHDL-FPGA-VerilogNAND_IP

Description: Nand flash VHDL code and Nand flash verilog code
Platform: | Size: 22528 | Author: psungil | Hits:

[VHDL-FPGA-VerilogMT29FxxG08xx

Description: MT的NAND FLASH MT29FxxG08xx系列的Verilog仿真模型,包含详细说明,试验证明,非常准确。-MT of the NAND FLASH MT29FxxG08xx series of Verilog simulation model, contains a detailed description, testing proved very accurate.
Platform: | Size: 92160 | Author: wuyihua | Hits:

[ARM-PowerPC-ColdFire-MIPSNANDflash_NORflash

Description: 介绍和描述Nand Flash, Nor Flash的物理结构和对比,以及使用的注意事项-Introduction and description of Nand Flash, Nor Flash and contrast the physical structure and the use of Attention
Platform: | Size: 323584 | Author: qing | Hits:

[VHDL-FPGA-VerilogM25P32_VG_12_50MHZ

Description: Serail Nor Flash Memory Model
Platform: | Size: 221184 | Author: Chris | Hits:

[Multimedia programl52a_nand_model

Description: 美光64GB nand flash 模型 verilog-micron 64GB nand flash verilog module
Platform: | Size: 64512 | Author: cancan | Hits:

[Software Engineerings29gl-a_00_a3_e

Description: nor flash(SPANSION的S29GL-A)的数据手册英文文档。对nor flash的读写很有帮助。-nor flash (SPANSION the S29GL-A) of the data sheet in English documents. Helpful to read and write on the nor flash.
Platform: | Size: 1405952 | Author: 陈洁 | Hits:

[VHDL-FPGA-VerilogRW_flash_con

Description: FLASH-RW,完成FLASH的读写操作 FLASH-RW,完成FLASH的读写操作-FLASH-RW,完成FLASH的读写操作
Platform: | Size: 1024 | Author: 张东良 | Hits:

[VHDL-FPGA-Verilognand_flash_ctl

Description: FPGA flash 控制读写程序 与mcu相连-FPGA flash control is connected to read and write procedures and mcu
Platform: | Size: 4096 | Author: jiang | Hits:

[VHDL-FPGA-VerilogFPGA_NAND_FLASH

Description: 基于FPGA的NAND FLASH控制器-FPGA-based NAND FLASH controllers
Platform: | Size: 93184 | Author: jiang | Hits:

[VHDL-FPGA-Verilogxapp944_source

Description: 主要用来实现FPGA控制nand flash存储器的读写控制,是公司网站提供-FPGA is mainly used to achieve control of read and write nand flash memory control, is the company website
Platform: | Size: 1140736 | Author: lijin | Hits:
« 1 2 34 5 6 7 8 »

CodeBus www.codebus.net